1. -- 
  2. -- Uwe R. Zimmer, Australia, 2013 
  3. -- 
  4.  
  5. with Queue_Pack_Abstract; 
  6.  
  7. generic 
  8.    with package Queue_Instance is new Queue_Pack_Abstract (<>); 
  9.    Queue_Size : Positive := 10; 
  10.  
  11. package Queue_Pack_Task_Generic is 
  12.  
  13.    use Queue_Instance; 
  14.  
  15.    task type Queue_Task is new Queue_Interface with 
  16.  
  17.       overriding entry Enqueue (Item :     Element); 
  18.       overriding entry Dequeue (Item : out Element); 
  19.  
  20.       entry Is_Empty (Result : out Boolean); 
  21.       entry Is_Full  (Result : out Boolean); 
  22.  
  23.    end Queue_Task; 
  24.  
  25. end Queue_Pack_Task_Generic;